Prof. Vojin G. Oklobdzija
  ACSEL Director
  info [at] acsel-lab.com
 

Projects

Energy Efficient Circuits
High Performance Adder
Low Power IC Design
Low Power Clocking Project
Clocked Storage Elements
Books
on Fabrication
on Applications
on uProcessor Des.
on Clocking
on Comp. Eng.
on System Design

Conference Resources

Computer Arithmetic Proceedings
Conference Calls
Conferences of Interest
Misc. Topics
Press Releases
Expert Witness and Litigation Consulting
Technical Consulting
Sponsorship
   Home   People   Research   Publications   Presentations   Patents   Teaching   

Publications


To access any file in the sections you need to use Acrobat Reader. If you do not have a copy, you can download one from Adobe.

    1970 to 1979

  1. V. G. Oklobdzija and N. Konjevic, "Spectroscopy Of Axisymmetric Plasma Sources", Proceedings of the Eleventh International Conference on Phenomena in Ionized Gases, p. 449, 1973.
  2. V. G. Oklobdzija and N. Konjevic, "Refractive-Ray Bending In Axially-Symmetric Plasma Sources", Proceedings of the International Conference on the Physics of Ionized Gases, 1974.
  3. V. G. Oklobdzija and N. Konjevic, "Refractive-Ray Bending In Axially-Symmetric Plasma Sources", Journal of Quantitative Spectroscopy and Radiative Transfer, Vol. 14, pp. 389-394, 1974.


  4. 1980 to 1989

  5. V. G. Oklobdzija, "Up/Down Display Counter Counts Over Pos/Neg Range", Digital Design, pp. 94-95, 1981.
  6. V. G. Oklobdzija and M. D. Ercegovac, "Testability Enhancement Of VLSI Using Circuit Structures", Proceedings of IEEE International Conference on Circuits and Computers, ICCC '82, pp. 198-201, 1982.
  7. V. G. Oklobdzija and M. D. Ercegovac, "An On-Line Square Root Algorithm", IEEE Transactions on Computers, Vol. C-31, No. 1, pp. 70-75, 1982.
  8. V. G. Oklobdzija, "Design Note. Opto-Isolated RS-232 Interface Achieves High Data Rate", Electronics, Vol. 55, No. 1, p. 175, 1982.
  9. V. G. Oklobdzija, "Improving Testability By Using Additional Circuits", Proceedings of the Seventeenth Asilomar Conference on Circuits, Systems and Computers, pp. 118-123, 1983.
  10. J. P. Roth, V. G. Oklobdzija and J. F. Beetem, "Test Generation For FET Switching Circuits", Proceedings of the International Test Conference, pp. 59-62, 1984.
  11. V. G. Oklobdzija and P. G. Kovijanic, "On Testability Of CMOS-Domino Logic", Proceedings FTCS-14 : 14th IEEE International Conference on Fault- Tolerant Computing, pp. 50-55, 1984.
  12. V. G. Oklobdzija and E. R. Barnes, "Some Optimal Schemes For ALU Implementation In VLSI Technology", Proceedings of the 7th Symposium on Computer Arithmetic ARITH-7, pp. 2-8. Reprinted in Computer Arithmetic, E. E. Swartzlander, (editor), Vol. II, pp. 137-142, 1985.
  13. V. G. Oklobdzija and R. K. Montoye, "Design-Performance Trade-Offs In CMOS Domino Logic", Proceedings of the Custom Integrated Circuits Conference, pp. 334-337, 1985.
  14. V. G. Oklobdzija and R. K. Montoye, "Design-Performance Trade-Offs In CMOS-Domino Logic", IEEE Journal of Solid State Circuits, Vol. SC-21, No. 2, pp. 304-306, 1986.
  15. V. G. Oklobdzija, N. M. Marinovic and L. Roytman, "Single-Chip Architecture For Real-Time Computation Of The Wigner Distribution Of Acoustic Signals", Proceedings of the 21st Asilomar Conference on Signals, Systems and Computers, pp. 939-943, 1987.
  16. V. G. Oklobdzija and E. R. Barnes, "On Implementing Addition in VLSI Technology", IEEE Journal of Parallel and Distributed Computing, No. 5, pp. 716-728, 1988.
  17. V. G. Oklobdzija, "Architecture For Single-Chip ASIC Processor With Integrated Floating Point Unit", Proceedings of the 21st Hawaii International Conference on System Sciences, pp. 1-9, 1988. (Best Paper award)
  18. V. M. Marinovic, V. G. Oklobdzija and L. Roytman, "VLSI Architecture Of A Real-Time Wigner Distribution Processor For Acoustic Signals", Proceedings of the International Conference on Acoustics, Speech, and Signal Processing, V4.7, pp. 2112-2115, 1988.
  19. V. G. Oklobdzija and G. Grohosky, "Architectural Study For An Integrated Fixed And Floating-Point VLSI-ASIC Processor", COMPEURO-'88, IEEE Symposium on Circuits and Systems, pp. 108-115, 1988.
  20. V. G. Oklobdzija, "Simple And Efficient CMOS Circuit For Fast VLSI Adder Realization", Proceedings of the International Symposium on Circuits and Systems, pp. 1-4, 1988.
  21. V. G. Oklobdzija, "Issues In CPU-Coprocessor Communication And Synchronization", EUROMICRO '88, Fourteenth Symposium, Microprocessing and Microprogramming, North-Holland Publishers, Vol. 24, pp. 695-700, 1988.
  22. V. G. Oklobdzija, "Rapid Turn-Around Design Style And Technology: Impact On Computer Architecture", Proceedings of the 22nd Annual Hawaii International Conference on System Sciences (HICSS-22), Vol. 1, page 1, 1989.


  23. 1990 to 1994

  24. B. D. Lee and V. G. Oklobdzija, "Optimization And Speed Improvement Analysis Of Carry-Lookahead Adder Structure", Proceedings of the 24th Asilomar Conference on Signals, Systems and Computers, Vol. 2 of 2, pp. 918-922, 1990.
  25. P. K. Chan, M. D. F. Schlag, C. D. Thomborson and V. G. Oklobdzija, "Delay Optimization Of Carry-Skip Adders And Block Carry-Lookahead Adders", Proceedings of the 10th IEEE Symposium on Computer Arithmetic, ARITH-10, pp. 1-11, 1991.
  26. B. D. Lee and V. G. Oklobdzija, "Improved CLA Scheme With Optimized Delay", Journal of VLSI Signal Processing, Vol. 3, No. 4, pp. 265-274, 1991.
  27. A. Sah, D. C. Verma and V. G. Oklobdzija, "A Study Of I/O Architecture For High Performance Next Generation Computers", Proceedings of the 2nd Symposium on High Performance Computing, pp. 1-12, 1991.
  28. N. M. Marinovic and V. G. Oklobdzija, "VLSI Chip Architecture For Real-Time Ambiguity Function Computation", Proceedings of the 25th Asilomar Conference on Signals, Systems and Computers, 1991, 5 pages.
  29. P. K. Chan, M. D. Schlag, C. D. Thomborson and V. G. Oklobdzija, "Delay Optimization Of Carry-Skip Adders And Block Carry-Lookahead Adders Using Multidimensional Dynamic Programming", IEEE Transactions on Computers, Vol. 41, No. 8, pp. 920-930, 1992.
  30. V. G. Oklobdzija, "An Implementation Algorithm And Design Of A Novel Leading Zero Detector Circuit", 26th IEEE Asilomar Conference on Signals, Systems and Computers, Vol 1, pp. 391-395, 1992. (Invited paper)
  31. V. G. Oklobdzija, D. Villeger, and T. Soulas, "Considerations For Design Of A Complex Multiplier", 26th IEEE Asilomar Conference on Signals, Systems and Computers, Asilomar, Vol. 1, pp. 366-370, 1992. (Invited paper)
  32. V. G. Oklobdzija, "Algorithmic Design Of A Hierarchical And Modular Leading Zero Detector Circuit", Electronics Letters, Vol. 29, No. 3, pp. 283-284, 1992.
  33. T. Soulas, D. Villeger and V. G. Oklobdzija, "An ASIC Macro Cell Multiplier For Complex Numbers", Proceedings of EURO-ASIC-93, the European (EDAC) Conference in ASIC Design, 1993, 5 pages.
  34. V. G. Oklobdzija, "Computer Arithmetic", The Electrical Engineering Handbook, R. C. Dorf (Ed.), a Chapter, CRC Press, Inc., pp. 1858-1865, 1993.
  35. V. G. Oklobdzija and D. Villeger, "Multiplier Design Utilizing Improved Column Compression Tree And Optimized Final Adder In CMOS Technology", Proceedings of the 1993 International Symposium on VLSI Technology, Systems and Applications, pp. 209-212, 1993.
  36. V. G. Oklobdzija, "A Hierarchical And Modular Circuit Implementing Leading Zero Detector For A High-Performance Floating-Point Processor", Proceedings of the 5th International Symposium on IC Technology, Systems, and Applications, ISIC-93, Nanyang Technological University, Singapore, 1993, 2 pages.
  37. V. G. Oklobdzija, D. Villeger, and T. Soulas, "An Integrated Multiplier For Complex Numbers", Journal of VLSI Signal Processing, Vol. 7, No. 3, pp. 213-222, 1993.
  38. V. G. Oklobdzija, "An Algoritmic And Novel Design Of A Leading Zero Detector Circuit: Comparison With Logic Synthesis", IEEE Transactions on VLSI Systems, Vol. 2, No. 1, pp. 124-128, 1993.
  39. D. Villeger and V. G. Oklobdzija, "Analysis Of Booth Encoding Efficiency In Parallel Multipliers Using Compressors For Reduction Of Partial Products", Proceedings of the 27th Asilomar Conference on Signals, Systems and Computers, pp. 781-784, 1993.
  40. D. Villeger and V. G. Oklobdzija, "Evaluation Of Booth Encoding Techniques For Parallel Multiplier Implementation", Electronics Letters, Vol. 29, No. 23, pp. 2016-2017, 1993.
  41. V. G. Oklobdzija, "New ECL Gate In BiFET Process", Electronics Letters, Vol. 29, No. 23, pp. 2029-2030, 1993.
  42. M. Q. Le and V. G. Oklobdzija, "Logic Synthesis For ASIC: A Guided Algorithmic Approach", Proceedings of the 1994 International Conference on ASIC, 1994, 4 pages.
  43. A. de la Serna, M. A. Soderstrand and V. G. Oklobdzija, "System For Rapid Prototyping Of Application Specific Signal Processors For ASIC Implementation", Proceedings of the 1994 International Conference on ASIC Implementation, pp. 157-160, 1994.
  44. R. Hundal and V. G. Oklobdzija, "Determination Of Optimal Sizes For A First And Second Level SRAM-DRAM On-Chip Cache Combination", Proceedings of the 1994 International Conference on Computer Design, 1994, 5 pages.
  45. V. G. Oklobdzija, "An ECL Gate With Improved Speed And Low Power In BiCMOS Process", Proceedings of the 1994 Bipolar/BiCMOS Circuits and Technology Meeting, 1994, 4 pages.
  46. V. G. Oklobdzija, "Design And Analysis Of Fast Carry-Propagate Adder Under Non-Equal Input Signal Arrival Profile", Proceedings of the 28th Asilomar Conference on Signals, Systems and Computers, 1994, 4 pages.
  47. R. H. Strandberg, J. C. Le Duc, Z-Y. Yang, L. G. Bustamante, V. G. Oklobdzija and M. Soderstrand, "Reconfigurable Processor For Real-Time Adaptive Sample Rate Notch Filtering", Proceedings of the 28th Asilomar Conference on Signals, Systems and Computers, 1994, 4 pages.
  48. R. H. Strandberg, J. C. Le Duc, L. G. Bustamante, V. G. Oklobdzija, and M. Soderstrand, "Implementation Of Adapative Sample Rate Kwan-Martin Notch Filter Using Efficient Realizations Of Reciprocal And Squaring Circuit", Proceedings of the 28th Asilomar Conference on Signals, Systems and Computers, 1994, 5 pages.


  49. 1995 to 1999

  50. V. G. Oklobdzija and D. Villeger, "Improving Multiplier Design By Using Improved Column Compression Tree And Optimized Final Adder In CMOS Technology", IEEE Transactions on VLSI Systems, Vol. 3, No. 2, June, 1995, 10 pages.
  51. V. G. Oklobdzija, "Computer Organization: Architecture", The Engineering Handbook, R. C. Dorf (Ed.), a Chapter, CRC Press, Inc., 1995, 20 pages.
  52. M. N. Dorojevets and V. G. Oklobdzija, "Multithreaded Decoupled Architecture", International Journal of High-Speed Computing, World Scientific Publisher, 16 pages, June, 1995.
  53. V. G. Oklobdzija, D. Villeger, and S. S. Liu, "A Method For Speed Optimized Partial Product Reduction And Generation Of Fast Parallel Multipliers Using An Algorithmic Approach", IEEE Transactions on Computers, Vol. 45, No. 3, March 1996.
  54. K. J. Runge, P. Lee, J. Correa, R.T. Scalettar, V.G. Oklobdzija, "Monte Carlo and Molecular Dynamic Simulations Using P4", Proceedings of the 9th Int'l Parallel Processing Symposium, Santa Barbara, California, April 24-29, 1995, 7 pages.
  55. D. Maksimovic, V.G. Oklobdzija, "Integrated Power Clock Generators for Low Energy Logic", Proceedings of the 1995 Power Electronics Specialists Conference, Atlanta, Georgia, June 18-22, 1995.
  56. V. G. Oklobdzija and B. Duchene, "Pass-Transistor Dual Value Logic For Low-Power CMOS", Proceedings of the 1995 International Symposium on VLSI Technology, Taipei, Taiwan, May 31-June 2nd, 1995.
  57. C. Martel, V. G. Oklobdzija, R. Ravi and P. Stelling, "Design Strategies For Optimal Multiplier Circuits", Proceedings of the 12th IEEE Symposium on Computer Arithmetic, Bath, ENGLAND, July 19-21,1995, 8 pages.
  58. V. G. Oklobdzija, "Computers", The Engineering Handbook, R. C. Dorf (Ed.), Introduction into Computers, a Chapter, CRC Press, Inc., 1995, 20 pages.
  59. V. G. Oklobdzija, "An ECL Gate with Improved Speed And Low-Power in BiCMOS Process", Journal of Solid State Circuits, January 1996.
  60. V. G. Oklobdzija and B. Duchene, "Logic Synthesis For Pass-Transistor Design", IEEE International Conference on Solid-State and Integrated-Circuit Technology, October 24-28, 1995, Beijing, China.
  61. V. G. Oklobdzija and B. Duchene, "Pass-Transistor Logic Family for High-Speed and Low Power CMOS", Sixth International Symposium on IC Technology, Systems and Applications, ISIC-95, Singapore, September 6-8, 1995.
  62. D. Maksimovic, V. G. Oklobdzija, "Clocked CMOS Adiabatic Logic with Single AC Power Supply", 21st European Solid-State Circuits Conference, September 19-21, 1995, Lille, FRANCE.
  63. V. G. Oklobdzija, "Digital Systems", The Engineering Handbook, R. C. Dorf (Ed.), Introduction into Digital Systems, Chapter, in press, CRC Press, Inc., 1995.
  64. V. G. Oklobdzija and B. Duchene, "Development and Synthesis Method for Pass-Transistor Logic Family for High-Speed and Low Power CMOS", 38th Midwest Symposium on Circuits and Systems, Rio de Janeriro, BRASIL, Auguts 13-16, 1995.
  65. K. J. Runge, P. Lee, J. Correa, R. T. Seabettar, and V. G. Oklobdzija, "Simulations of Interacting Many Body Systems Using P4", Journal of High-Speed Computing, World Scientific Publisher, 27 pages, August 1995.
  66. P. Bonatto, V. G. Oklobdzija, "Evaluation of Booth's Algorithm for Implementation in Parallel Multipliers", Twenty-Ninth Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 1995.
  67. Jean Noel, V. G. Oklobdzija, "New Pipelined Architecture for DSP", Twenty-Ninth Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 1995.
  68. V. G. Oklobdzija, P. Stelling, "Design Strategies for the Final Adder in a Parallel Multiplier", Twenty-Ninth Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 1995.
  69. V. G. Oklobdzija, "A Method for Generation of Fast Parallel Multipliers", 2nd International Conference on Massively Parallel Computing Systems, May 6-9, 1996, Ischia, ITALY.
  70. K. W. Current, V. G. Oklobdzija, D. Maksimovic, "Low-Energy Logic Circuit Techniques for Multiple Valued Logic", Second Int'l Symposium on Multiple-Valued Logic, Santiago de Compostela, Spain, May 29-31, 1996.
  71. P. Stelling , V. G. Oklobdzija, "Design Strategies for Optimal Hybrid Final Adders in a Parallel Multiplier", special issue on VLSI Arithmetic, Journal of VLSI Signal Processing, Kluwer Academic Publishers, Vol. 14, No. 3, December 1996.
  72. R.H. Strandberg, J-C Le Duc, L.G. Bustamante, V. G. Oklobdzija, M. Soderstrand, "Efficient Realization of Squaring Circuit and Reciprocal used in Adaptive Sample Rate Notch Filters", special issue on VLSI Arithmetic, Journal of VLSI Signal Processing, Kluwer Academic Publishers, Vol. 14, No. 3, December 1996.
  73. V. G. Oklobdzija, Comments on "Leading-Zero Anticipatory Logic for High-Speed Floating Point Addition", IEEE Journal of Solid-State Circuits, Vol. 32, No. 2, pp. 292-293, February 1997.
  74. P. Stelling, V. G. Oklobdzija, "Implementing Multiply-Accumulate Operation in Multiplication Time", Thirteenth International Symposium on Computer Arithmetic, Pacific Grove, California, July 5 - 9, 1997.
  75. D. Maksimivic, V. G. Oklobdzija, B. Nikolic, K. W. Current, "Design and Experimental Verification of a CMOS Adiabatic Logic with Single-Phase Power-Clock Supply", Proceedings of the 40th Midwest Symposium on Circuits and Systems, Sacramento, California, August 3-6, 1997.
  76. D. Maksimovic, V. G. Oklobdzija, B. Nikolic, K. W. Current, "Clocked CMOS Adiabatic Logic with Integrated Single-Phase Power-Clock Supply: Experimental Results", International Symposium on Low Power Electronics and Design, Monterey, California, August 18-20, 1997.
  77. Paul F. Stelling and Vojin G. Oklobdzija, "Optimal Designs for Multipliers and Multiply-Accumulators", Proceedings of the 15th IMACS World Congress on Scientific Computation, Modeling, and Applied Mathematics, Volume 4 Artificial Intelligence and Computer Science, Achim Sydow, editor, Wissenschaft and Technik Verlag, Berlin, August 1997, pp. 739-744.
  78. B. Nikolic, V. G. Oklobdzija, "A Single-Phase Clock High-Performance BiCMOS Latch", Proceedings of the 7th International Symposium on IC Technology, Systems & Applications, Singapore, September 10-12, 1997.
  79. K. W. Current, V. G. Oklobdzija, D. Maksimovic, "On Adiabatic Multiple Valued Logic Circuits", Journal of Multiple Value Logic, Vol. 2, Gordon and Breach Publishing, pp. 329-347, 1997.
  80. V. G. Oklobdzija, "Differential and Pass-Transistor CMOS Logic for High-Performance Systems", 21st International IEEE Conference on Microelectronics, September 14-17, 1997, Nis, Yugoslavia.
  81. V. G. Oklobdzija, D. Maksimovic, F. C. Lin, "Pass-Transistor Adiabatic Logic using Single Power-Clock Supply", IEEE Transactions on Circuits and Systems-II, Vol. 44, No. 10, pp. 842-846. October 1997.
  82. V. G. Oklobdzija and B. Duchene, "Synthesis Of High-Speed Pass-Transistor Logic", IEEE Transactions on Circuits and Systems-II, Vol. 44, No. 11, November 1997, 7 pages.
  83. V. G. Oklobdzija, "Differential and Pass-Transistor CMOS Logic for High-Performance Systems", reprinted from 21st International IEEE Conference on Microelectronics, Electronics, Vol. 1, No. 1, December 1997.
  84. V. G. Oklobdzija, "Differential and Pass-Transistor CMOS Logic for High-Performance Systems", Microelectronics Journal, Elsevier Publishing,  March 1998.
  85. P. Stelling, C. Martel, V. G. Oklobdzija, R. Ravi, "Optimal Circuits For Parallel Multipliers", IEEE Transactions on Computers, Vol. 47, No. 3, pp. 273-285, March 98.
  86. A. A. Farooqui, V. G. Oklobdzija, "General Data-Path Organization of a MAC unit for VLSI Implementation of DSP Processors", 1998 IEEE International Symposium on Circuits and Systems, Monterey, California, May 31 - June 3, 1998.
  87. B. Nikolic, V. G. Oklobdzija, "Low Voltage BiCMOS TSPC Latch for High Performance Digital Systems", 1998 IEEE International Symposium on Circuits and Systems, May 31 - June 3, 1998, Monterey, California.
  88. V. G. Oklobdzija, "Architectural Tradeoffs for Low Power", International Symposium on Computer Architecture, Barcelona, SPAIN, June 27-July 1st, 1998.
  89. V. Stojanovic, V. G. Oklobdzija, R. Bajwa, "A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems", International Symposium on Low Power Electronics and Design, Monterey, California, August 10-12, 1998.
  90. V. Stojanovic, V. G. Oklobdzija, R. Bajwa, "Comparative Analysis of Latches and Flip-Flops for High-Performance Systems", International Conference on Computer Design, Austin, Texas, October 5-7, 1998.
  91. A. A. Farooqui, V. G. Oklobdzija, "Early Branch Prediction Circuit For High Performance Digital Signal Processors", 1998 IEEE Conference on Microelectronics, Monastir, Tunisia, December 14-16, 1998.
  92. B. Nikolic, V. Stojanovic, V.G. Oklobdzija, W. Jia, J. Chiu, M. Leung, "Sense Amplifier-Based Flip-Flop", 1999 IEEE International Solid-State Circuits Conference, San Francisco, February 1999.
  93. V. Stojanovic and V. G. Oklobdzija, "Comaparative Analysis of Master-Slave Latches and Flip-Flops for High-Performance and Low-Power Systems", IEEE Journal of Solid-State Circuits, Vol. 34, No. 4, April 1999.
  94. V. G. Oklobdzija, "Digital Arithmetic", Wiley Encyclopedia of Electrical and Electronics Engineering, Vol. 5, Book Chapter, John Wiley publishing, 1999.
  95. V. G. Oklobdzija, "Reduced Instruction Set Computing", Wiley Encyclopedia of Electrical and Electronics Engineering, Vol. 18, Book Chapter, John Wiley publishing, 1999.
  96. A. Farooqui, V. G. Oklobdzija, "VLSI Implementation of Early Branch Prediction Circuits for High Performance Computing", 9th Great Lakes VLSI conference (GLSVLSI 99), Ann Arbor, Michigan, March 4-6, 1999.
  97. A. Farooqui, V. G. Oklobdzija, F. Chehrazi, "64-Bit Media Adder", 1999 IEEE International Symposium on Circuits and Systems, Orlando, Florida, May 5-8, 1999.
  98. A. Farooqui, V. G. Oklobdzija, F. Chehrazi, "Multiplexer Based Adder for Media Signal Processing", 1999 International Symposium on VLSI Technology, Systems, and Applications, Taipei, Taiwan, June 8-10, 1999.
  99. B. Nikolic, V. G. Oklobdzija, "Design and Optimization of Sense Amplifier-Based Flip-Flops", 25th European Solid-State Circuits Conference, Duisburg, GERMANY, 21-23 September 1999.
  100. F. Chehrazi, V. G. Oklobdzija, A. A. Farooqui, "Single-Cycle Throughput Multi-Media Multiplier", SONY Research Forum (SRF), Tokyo, JAPAN, October 1999.
  101. B. Nikolic, M. Leung, L. Fu, V.G. Oklobdzija, R. Yamasaki, "Reduced-Complexity Sequence Detection for E2PR4 Magnetic Recording Channel", Proceedings of Global Telecommunications Conference, Globcom '99, Rio de Janeiro, BRAZIL, December 1999.


  102. 2000 to 2004

  103. D. Markovic, B. Nikolic, V.G. Oklobdzija, "General Method in Synthesis of Pass-Transistor Circuits", 22nd International IEEE Conference on Microelectronics, May, 2000, Nis, Yugoslavia.
  104. B. Nikolic, V. G. Oklobdzija, V. Stojanovic, W. Jia, J. Chiu, M. Leung, "Improved Sense Amplifier-Based Flip-Flop: Design and Measurements", IEEE Journal of Solid-State Circuits, Vol. 35, No. 6, June 2000.
  105. V. G. Oklobdzija, A. A. Farooqui, "Computer Arithmetic for the Processing of Media Signals", Invited Paper, Proceedings of SPIE Vol. 4116, Advanced Signal Processing Algorithms, Architectures, and Implementations, San Diego, California, USA, 2 -4 August, 2000.
  106. D. Maksimovic, V. G. Oklobdzija, B. Nikolic, K. W. Current, "Clocked CMOS Adiabatic Logic with Integrated Single-Phase Power-Clock Supply", IEEE Transactions on VLSI Systems, Vol. 8, No. 4, August 2000.
  107. A. Farooqui, K. W. Current, V. G. Oklobdzija, "Partitioned Branch Condition Resolution Logic", Proceedings of the Symposium on Integrated Circuits and Systems Design, SBCCI2000, Manaus, Brazil, September 18-22, 2000.
  108. N. Nedovic, V. G. Oklobdzija, "Hybrid Latch Flip-Flop with Improved Power Efficiency", Proceedings of the Symposium on Integrated Circuits and Systems Design, SBCCI2000, Manaus, Brazil, September 18-22, 2000.
  109. N. Nedovic, V. G. Oklobdzija, "Dynamic Flip-Flop with Improved Power", Proceedings of the 26th European Solid-State Circuits Conference, ESSCIRC 2000, Stockholm, Sweden, September 19-21, 2000.
  110. N. Nedovic, V. G. Oklobdzija, "Dynamic Flip-Flop with Improved Power", Proceedings of the International Conference on Computer Design, ICCD 2000, Austin, Texas, September 18-20, 2000.
  111. A. Farooqui, V. G. Oklobdzija, "Impact of Architecture Extensions for Media Signal Processing on Data-Path Organization", 34th Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 2000.
  112. A. Farooqui, V. G. Oklobdzija, "A Programmable Data-Path for MPEG-4 and Natural Hybrid Video Coding", 34th Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 2000.
  113. V. G. Oklobdzija, "Computational Requirements for Media Signal Processing", 34th Annual Asilomar Conference on signals, Systems and Computers, Pacific Grove, California, October 29 - November 1, 2000.
  114. D. Markovic, B. Nikolic, V.G. Oklobdzija, "General Method in Synthesis of Pass-Transistor Circuits", Microelectronics Journal, Elsevier Science Publishing, No. 31, November-December, 2000, p.991-998.
  115. A. Inoue, V. G. Oklobdzija, W. W. Walker, M. Kai, T. Izawa, "A Low Power SOI Adder Using Reduced-Swing Charge Recycling Circuits", 2001 IEEE International Solid-State Circuits Conference Digest of Technical papers, San Francisco, February 2001.
  116. N. Nedovic, V. G. Oklobdzija, M. Leung, "FIR Filter for Adaptive Equalization in PRML Read Channels", The 5th World Multi-Conference on Systemics, Cybernetics and Informatics SCI 2001, Orlando, Florida, July 22-25, 2001.
  117. H. Q. Dao, K. Nowka, V. G. Oklobdzija, "Analysis of Clocked Timing Elements for DVS Effects over Process Parameter Variation", Proceedings of the International Symposium on Low Power Electronics and Design, Huntington Beach, California, August 6-7, 2001.
  118. N. Nedovic, M. Aleksic, V. G. Oklobdzija, "Conditional Techniques for Low Power Consumption Flip-Flops", Proceedings of the 8th IEEE International Conference on Electronics, Circuits and Systems, Malta, September 2-5, 2001.
  119. N. Nedovic, M. Aleksic, V. G. Oklobdzija, "Timing Characterization of Dual-Edge Triggered Flip-Flops", Proceedings of the International Conference on Computer Design, ICCD 2001, Austin, Texas, September 23-26, 2001.
  120. H. Q. Dao, V. G. Oklobdzija, "Application of Logical Effort on Delay Analysis of 64-bit Static Carry-Lookahead Adder", 35th Annual Asilomar Conference on Signals, Systems and Computers, Pacific Grove, California, November 4-7, 2001.
  121. X. Y. Yu, V. G. Oklobdzija, W. W. Walker, "Application of Logical Effort on Design of Arithmetic Blocks", 35th Annual Asilomar Conference on Signals, Systems and Computers, Pacific Grove, California, November 4-7, 2001.
  122. H. Q. Dao, V. G. Oklobdzija, "Application of Logical Effort Techniques for Speed Optimization and Analysis of Representative Adders", 35th Annual Asilomar Conference on Signals, Systems and Computers, Pacific Grove, California, November 4-7, 2001
  123. C. Cuche, C. Piguet, V. G. Oklobdzija, "Design Flow and CAD Tools for Asynchronous Design of Sequential Library Cells", Second Working Group on Asynchronous Circuit Design (ACiD-WG) Workshop of the European Commission's Fifth Framework Programme, Munich, Germany, 28-29 January, 2002.
  124. M. Saint-Laurent, V. G. Oklobdzija, S. S. Singh, M. Swaminathan, J. D. Meindl, "Optimal Sequencing Energy Allocation for CMOS Integrated Systems", 3rd International Symposium on Quality Electronic Design, San Jose, California, March 18-20, 2002.
  125. V. G. Oklobdzija, "Clocking in Multi-GHz Environment", 2002 23rd International Conference on Microelectronics. Proceedings (Cat. No.02TH8595). IEEE. Part vol.2, 2002, pp. 561-8 vol.2. Piscataway, NJ, USA.
  126. V. G. Oklobdzija, "Clocking in Multi-GHz Environment", Electrical Engineering Series, Facta Universitatis, Nis, Vol. 15, No. 1. April 2002. (reprint from 23rd International Conference on Microelectronics. Proceedings, 2002)
  127. N. Nedovic, M. Aleksic, V. G. Oklobdzija, "Comparative Analysis of Double-Edge versus Single-Edge Triggered Clocked Storage Elements", 2002 IEEE International Symposium on Circuits and Systems, Scottsdale, Arizona, May 26-29, 2002.
  128. N. Nedovic, M. Aleksic, V. G. Oklobdzija, "Conditional Pre-Charge Techniques for Power-Efficient Dual-Edge Clocking", Proceedings of the International Symposium on Low-Power Electronics and Design, Monterey, California, August 12-14, 2002.
  129. V. G. Oklobdzija, J. Sparso, "Future Directions in Clocking Multi-GHz Systems", ISLPED'02: Proceedings of the 2002 International Symposium on Lower Power Electronics and Design (IEEE Cat. No.02TH8643). ACM. 2002, pp. 219. New York, NY, USA.
  130. H. Q. Dao, V. G. Oklobdzija, "Performance Comparison of VLSI Adders Using Logical Effort", 12th International Workshop on Power And Timing Modeling, Optimization and Simulation, Sevilla, SPAIN, September 11-13, 2002.
  131. V. G. Oklobdzija, "Clocking and Clocked Storage Elements in Multi-GHz Environment", Invited paper, 12th International Workshop on Power And Timing Modeling, Optimization and Simulation, Sevilla, SPAIN, September 11-13, 2002.
  132. N. Nedovic, W. W. Walker, V. G. Oklobdzija, M. Aleksic, "A Low Power Symmetrically Pulsed Dual Edge-Triggered Flip-Flop", Proceedings of the 28th European Solid-State Circuits Conference, Florence, ITALY, September 24-26, 2002.
  133. N. Nedovic, V. G. Oklobdzija, W. W. Walker, "A Clock Skew Absorbing Flip-Flop", 2003 IEEE International Solid-State Circuits Conference Digest of Technical papers, San Francisco, February 2003.
  134. A. A. Farooqui, V. G. Oklobdzija, S. M. Sait, "Area-Time Optimal Adder with Relative Placement Generator", International Symposium on Circuits and Systems, Bangkok, Thailand, May 25-28, 2003.
  135. X. Y. Yu, V. G. Oklobdzija, W. W. Walker, "An Efficient Transistor Optimizer for Custom Circuits", International Symposium on Circuits and Systems, Bangkok, Thailand, May 25-28, 2003.
  136. B. R. Zeydel, V.G. Oklobdzija, S. Mathew, R.K. Krishnamurthy, S. Borkar, "A 90nm 1GHz 22mW 16x16-bit 2's Complement Multiplier for Wireless Baseband", Proceedings of the 2003 Symposium on VLSI Circuits, Kyoto, JAPAN, June 12 - 14, 2003.
  137. V. G. Oklobdzija, B. R. Zeydel, H. Q. Dao, S. Mathew, R. Krishnamurthy, "Energy-Delay Estimation Technique for High-Performance Microprocessor VLSI Adders", Proceedings of the International Symposium on Computer Arithmetic, ARITH-16, Santiago de Compostela, SPAIN, June 15-18, 2003
  138. H. Q. Dao, B. R. Zeydel, V. G. Oklobdzija, "Energy Minimization Method for Optimal Energy-Delay Extraction", Proceedings of the European Solid-State Circuits Conference, ESSCIRC 2003, Estoril, PORTUGAL, September 16-18, 2003.
  139. H. Q. Dao, B. R. Zeydel, V. G. Oklobdzija, "Energy Optimization of High-Performance Circuits", Proceedings of the 13th International Workshop on Power And Timing Modeling, Optimization and Simulation, Torino, Italy, September 10-12, 2003.
  140. V. G. Oklobdzija, "Clocking and Clocked Storage Elements in a Multi-Gigahertz Environment", IBM Journal of Research and Development, Vol. 47, No. 5/6, pp. 567-584, September/November 2003.
  141. V. G. Oklobdzija, "Multi-GHz Systems Clocking", Invited Paper, Proceedings of the 5th International Conference on ASIC, Beijing, P.R. China, October 22-24, 2003.
  142. V. G. Oklobdzija, "Issues in System on the Chip Clocking", Invited Paper, Proceedings of the IEEK System on Chip Design Conference, Seoul, Korea, November 5-6, 2003.
  143. M. Vratonjic, B. R. Zeydel, H. Q. Dao, V. G. Oklobdzija, "Low-Power Aspects of Different Adder Topologies", 37th Annual Asilomar Conference on Signals, Systems and Computers, Pacific Grove, California, November 9-12, 2003.
  144. N. Nedovic, W. W. Walker, V. G. Oklobdzija, "A Test Circuit for Measurement of Clocked Storage Element Characteristics", IEEE Journal of Solid-State Circuits, Vol. 39, No. 8, pp. 1294-1304, August 2004.


  145. 2005 - 2010

  146. H. Q. Dao, B. R. Zeydel, V. Zyuban, V. G. Oklobdzija, "A Method for Energy Optimization of Digital Pipelined Systems", The Fourth Annual IBM Austin Conference on Energy-Efficient Design, ACEED 2005, Austin, Texas, March 1-3, 2005.
  147. N. Nedovic, V. G. Oklobdzija, "Dual-Edge Triggered Storage Elements and Clocking Strategy for Low-Power Systems", IEEE Transaction on VLSI Systems, Volume 13, Issue 5, pp. 577-590, May 2005.
  148. V. G. Oklobdzija, B. R. Zeydel, H. Q. Dao, S. Mathew, R. Krishnamurthy, "Comparison of High-Performance VLSI Adders in Energy-Delay Space", IEEE Transaction on VLSI Systems, Volume 13, Issue 6, pp. 754-758, June 2005.
  149. B.R. Zeydel, T.T.J.H. Kluter, V. G. Oklobdzija, "Efficient Energy-Delay Mapping of Addition Recurrence Algorithms in CMOS", International Symposium on Computer Arithmetic, ARITH-17, Cape Cod, Massachusetts, USA, June 27-29, 2005.
  150. M. Aleksic, N. Nedovic, K. W. Current, V. G. Oklobdzija, "A New Model for Timing Jitter Caused by Device Noise in Current-Mode Logic Frequency Dividers", in Proc. of the 15th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Leuven, Belgium, September 21-23, 2005.
  151. H. Q. Dao, B. R. Zeydel, V. G. Oklobdzija, "Architectural Considerations for Energy Efficiency", Proceedings of the International Conference on Computer Design, ICCD 2005, San Jose, California, October 2-5, 2005.
  152. M. Vratonjic, B. R. Zeydel, V. G. Oklobdzija, "Low- and Ultra Low-Power Arithmetic Units: Design and Comparison", Proceedings of the International Conference on Computer Design, ICCD 2005, San Jose, California, October 2-5, 2005.
  153. H. Q. Dao, B. R. Zeydel, V. G. Oklobdzija, "Energy-Efficient Optimization of the Viterbi ACS Unit Architecture", Proceedings of the Asian Solid-State Circuit Conference, A-SSCC 2005, Hsinchu, Taiwan, November 1-3, 2005.
  154. S. K. Hsu, S. K. Mathew, M. A. Anders, B. R. Zeydel, V. G. Oklobdzija, R. K. Krishnamurthy, S. Y. Borkar, "A 110 GOPS/W 16-bit Multiplier and Reconfigurable PLA Loop in 90-nm CMOS", IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, pp. 256-264, January 2006.
  155. H. Q. Dao, B. R. Zeydel, V. G. Oklobdzija, "Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling", IEEE Transaction on VLSI Systems,Vol. 14, Issue 2, Feb. 2006 pp. 122-134.
  156. C. Giacomotto, N. Nedovic, V. G. Oklobdzija, "Energy-Delay Space Analysis for Clocked Storage Elements under Process Variations", 16th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Montpellier, France Sept. 13-15, 2006.
  157. B. R. Zeydel, V. G. Oklobdzija, "Methodology for Energy-Efficient Digital Circuit Sizing: Important Issues and Design Limitations", 16th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Montpellier, France Sept. 13-15, 2006.
  158. M. Vratonjic, B. R. Zeydel, V. G. Oklobdzija, "Circuit Sizing and Supply-Voltage Selection for Low-Power Digital Circuit Design", 16th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Montpellier, France Sept. 13-15, 2006.
  159. X. Y. Yu, R. Montoye, K. Nowka, B. Zeydel, V. Oklobdzija, "Circuit Design Style for Energy Efficiency: LSDL and Compound Domino", 16th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Montpellier, France Sept. 13-15, 2006.
  160. C. Giacomotto, N. Nikola, V. Oklobdzija, "The Effect of the System Specification on the Optimal Selection of Clocked Storage Elements", IEEE Journal of Solid-State Circuits, Vol. 42, No. 6, pp. 1392-1404, June 2007.
  161. M. Singh, C. Giacomotto, B. R. Zeydel, V. Oklobdzija, "Logic Style Comparison for Ultra Low Power Operation in 65nm Technology", 17th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Gothenburg, Sweden Sept. 3-5, 2007 .
  162. C. Giacomotto, M. Singh, M. Vratonjic, V. Oklobdzija, "Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements", 18th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Lisbon, Portugal Sept. 10-12, 2008 .
  163. M. Aleksic, K. W. Current, V. G. Oklobdzija, "Jitter Analysis of Non-Autonomous MOS Current-Mode Logic Circuits" IEEE Transaction on Circuits and Systems I, Special Issue, Vol. 55, No.10, November 2008.
  164. D. Baran, M. Aktan, H. Karimiyan, V. G. Oklobdzija, "Exploration of Switching Activity Behavior of Addition Algorithms", IEEE MWSCAS 2009, Cancun, Mexico, 2-5 August 2009.
  165. Joosik Moon, Mustafa Aktan, V. G. Oklobdzija, "Design Methodology for Clocked Storage Elements Robust to Process Variations", CENICS 2009, Sliema, Malta, 11-16 October 2009.
  166. D. Baran, M. Aktan,H. Karimiyan, V. G. Oklobdzija, "Switching Activity Calculation of VLSI Adders", IEEE ASICON 2009, Changsha, China, 20-23 October 2009.
  167. D. Baran, M. Aktan,H. Karimiyan, V. G. Oklobdzija, "Switching Activity Calculation of VLSI Adders", IEEE ASICON 2009, Changsha, China, 20-23 October 2009.
  168. Joosik Moon, Mustafa Aktan, V. G. Oklobdzija, "Clocked Storage Elements Robust to Process Variations", IEEE ASICON 2009, Changsha, China, 20-23 October 2009.
  169. H. K. Alidash, S. M. Sayedi, H. Saidi, and V. G. Oklobdzija, "Soft Error Filtered and Hardened Latch," IEEE ASICON 2009, Changsha, China, 20-23 October 2009.
  170. M. Aktan, S. Paramesvaran, J. Moon, and V. G. Oklobdzija, "Energy-Delay Space Exploration of Clocked Storage Elements Using Circuit Sizing," the Austin conference on Integrated systems and Circuits (ACISC), Austin, 2009.
  171. H. K. Alidash and V. G. Oklobdzija, "Low-Power Soft Error Hardened Latch," PATMOS 2009, 19th international Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Delft, Holland, 2009.
  172. M. Vratonjic, M. Ziegler, G. D. Gristede, V. Zyuban, T. Mitchell, E. Cho, C. Visweswariah, V. G. Oklobdzija, "A New Methodology for Power-Aware Transistor Sizing: Free Power Recovery (FPR)" , PATMOS 2009, 19th international Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Delft, Holland, 2009.
  173. H. Karimiyan Alidash and V. G. Oklobdzija., "Low-Power Soft Error Hardened Latch", Journal Low Power Electronics 6, 218-226 (2010).
  174. B. R. Zeydel, D. Baran, V. G. Oklobdzija, "Energy Efficient Design of High-Performance VLSI Adders ", IEEE Journal of Solid-State Circuits , Vol 45, Issue 6. June 2010.
  175. Baran, Dursun; Aktan, Mustafa; Oklobdzija, Vojin G.; " Energy efficient implementation of parallel CMOS multipliers with improved compressors," Low-Power Electronics and Design (ISLPED), 2010 ACM/IEEE International Symposium on, pp.147-152, 18-20 Aug. 2010.

    2010 - on

  176. Baran, Dursun; Aktan, Mustafa; Oklobdzija, Vojin G.; "Multiplier Structures for Low Power Applications in Deep-CMOS," Proceedings of 2011 IEEE International Symposium on Circuits and Systems (ISCAS 2011), pp., 15-18 May. 2011.
  177. Aktan, Mustafa; Baran, Dursun; Oklobdzija, Vojin G.; "A Quick Method for Energy Optimized Gate Sizing of Digital Circuits," International Workshop, PATMOS 2011 on Power and Timing Modeling, Optimization, and Simulation, Madrid, SPAIN, September 27, 2011.
  178. Hossein Karimiyan Alidash,  Sayed Masoud Sayedi,  Vojin G. Oklobdzija, " Soft-Error Hardened Redundant Triggered Latch," 4th Asia Symposium on Quality Electronic Design (ASQED), July 10-11, 2012.
  179. V.G. Oklobdzija, M. Aktan, Baran, �Optimal Transistor Sizing and Voltage Scaling for Minimal Energy use at Fixed Performance�, 7th Argentine School of Micro-Nanoelectronics, Technology and Applications (EAMTA 2012), Cordoba, Argentina, August 4-12, 2012.
  180. V. Nawathe, M. Aktan, L. Wang, V. G. Oklobdzija, �Parallelism trade-offs for data-driven circuits�, 7th Argentine School of Micro-Nanoelectronics, Technology and Applications (EAMTA 2012), Cordoba, Argentina, August 4-12, 2012.
  181. M. Aktan, D. Baran, V.G. Oklobdzija, �Minimizing Energy by Achieving Optimal Sparseness in Parallel Adders�, Proceedings of the 22nd IEEE Symposium on Computer Arithmetic, Lyon, FRANCE, June 22-24, 2015.
  182. Books:

    1. V. G. Oklobdzija, "High-Performance System Design: Circuits and Logic", Book, IEEE Press, July, 1999.
    2. V. G. Oklobdzija, "The Computer Engineering Handbook", CRC Press, December, 2001.
    3. V. G. Oklobdzija, V. Stojanovic, D. Markovic, N. Nedovic, "Digital System Clocking, High-Performance and Low-Power Aspects", John Wiley, January 2003.
    4. V. G. Oklobdzija, R. K. Krishnamurthy, "High-Performance Energy-Efficient Microprocessor Design", Springer, July 2006.
    5. V. G. Oklobdzija, "Digital Systems and Applications", Taylor & Francis, Nov. 2007.
    6. V. G. Oklobdzija, "Digital Design and Fabrication", Taylor & Francis, Nov. 2007.

    Book Chapters:

    1. V. G. Oklobdzija, "Digital Arithmetic", Encyclopedia of Electrical Engineering, Vol. 5, John Wiley publishing, pp. 411-418, 1998.
    2. V. G. Oklobdzija, "Reduced Instruction Set Computing", Encyclopedia of Electrical Engineering, Vol. 18, John Wiley publishing, pp. 342-351, 1998.
    3. V. G. Oklobdzija, "Computer Organization: Architecture," The Engineering Handbook, R. C. Dorf (Ed.), a Chapter, CRC Press, Inc., pp. 1434-1446, 1995.
    4. V. G. Oklobdzija, "Computers", The Engineering Handbook, R. C. Dorf (Ed.), Introduction into Computers, a Chapter, CRC Press, Inc., pp. 1430-1433, 1995.
    5. V. G. Oklobdzija, "Digital Systems", The Engineering Handbook, R. C. Dorf (Ed.), Introduction into Digital Systems, CRC Press, Inc., pp. 1286-1288, 1995.
    6. V. G. Oklobdzija, "Computer Arithmetic", The Electrical Engineering Handbook, R. C. Dorf (Ed.), a Chapter, CRC Press, Inc., pp. 1858-1865, 1993.
    7. V. G. Oklobdzija, "High-Speed VLSI Arithmetic Units: Adders and Multipliers", in "Design of High-Performance Microprocessor Circuits", Book Chapter, Book edited by A. Chandrakasan, IEEE Press, 2000.
    8. V. G. Oklobdzija, "Clocking Multi-GHz Systems", Low-Power Electronics Design, C. Piguet (Ed.), a Chapter, CRC Press, Inc, 2004.
    9. B. R. Zeydel and V. G. Oklobdzija, "Design of energy-efficient digital circuits", High-Performance Energy-Efficient Microprocessor Design, V. G. Oklobdzija and R. K. Krishnamurthy (Eds.), Springer, 2006.
    10. V. G. Oklobdzija and B. R. Zeydel, "Energy-delay characteristics of CMOS adders", High-Performance Energy-Efficient Microprocessor Design, V. G. Oklobdzija and R. K. Krishnamurthy (Eds.), Springer, 2006.
 
 
 
| U.S. Patent Office | IEEE |
Copyright Advanced Computer Systems Engineering Lab, 2007.
 

Website design by Christophe Giacomotto.